مشاهدة الكل

يرجى الرجوع إلى النسخة الإنجليزية كنسخة رسمية لدينا.يعود

France(Français) Germany(Deutsch) Italy(Italia) Russian(русский) Poland(polski) Czech(Čeština) Luxembourg(Lëtzebuergesch) Netherlands(Nederland) Iceland(íslenska) Hungarian(Magyarország) Spain(español) Portugal(Português) Turkey(Türk dili) Bulgaria(Български език) Ukraine(Україна) Greece(Ελλάδα) Israel(עִבְרִית) Sweden(Svenska) Finland(Svenska) Finland(Suomi) Romania(românesc) Moldova(românesc) Slovakia(Slovenská) Denmark(Dansk) Slovenia(Slovenija) Slovenia(Hrvatska) Croatia(Hrvatska) Serbia(Hrvatska) Montenegro(Hrvatska) Bosnia and Herzegovina(Hrvatska) Lithuania(lietuvių) Spain(Português) Switzerland(Deutsch) United Kingdom(English) Japan(日本語) Korea(한국의) Thailand(ภาษาไทย) Malaysia(Melayu) Singapore(Melayu) Vietnam(Tiếng Việt) Philippines(Pilipino) United Arab Emirates(العربية) Iran(فارسی) Tajikistan(فارسی) India(हिंदी) Madagascar(malaɡasʲ) New Zealand(Maori) Brazil(Português) Angola(Português) Mozambique(Português) United States(English) Canada(English) Haiti(Ayiti) Mexico(español)
على 16/08/2023

تعلن إنتل عن إنهاء الحصول على أشباه الموصلات العليا للبرج

أعلنت Intel أنه نظرًا لعدم الحصول على موافقة تنظيمية في الوقت المناسب ، ستتخلى الشركة عن خطتها للحصول على Tower Semiconductor Ltd. والتخلي عن المعاملة البالغة 5.4 مليار دولار.

ذكرت شركة Intel Corporation في بيان يوم الأربعاء أن كلا الطرفين وافق على إنهاء اتفاقية فبراير 2022 مع Tower.وفقًا لشروط اتفاقية الاندماج ، ستدفع Intel رسوم إنهاء قدرها 353 مليون دولار إلى Gaota.

صرح بات جيلجر ، الرئيس التنفيذي لشركة Intel: عملنا OEM أمر بالغ الأهمية لإطلاق الإمكانات الكاملة لـ IDM 2.0 ، وسنستمر في تعزيز جميع جوانب استراتيجيتنا.نقوم بتنفيذ خريطة الطريق الخاصة بنا لاستعادة القيادة في الترانزستور وأداء الطاقة بحلول عام 2025 ، وبناء الزخم مع العملاء ونظام بيئي أوسع ، والاستثمار في توفير التنوع الجغرافي وصنع التصنيع المرن المطلوب عالمياً.في هذه العملية ، نحن ملتزمون باحترام R المتزايد يومًا بعد يوم ، وسنواصل البحث عن فرص للتعاون في المستقبل

ذكر ستيوارت بان ، نائب الرئيس الأول والمدير العام لشركة Intel Wafer Foundry Services (IFS): منذ إطلاقه في عام 2021 ، تلقت شركة Intel Wafer Founder Services الدعم من العملاء والشركاء ، وقد حققنا تقدمًا كبيرًا في تحقيق هدفنا في أن نصبحكأول مسبك في العالم مفتوحًا في العالم ، كأول مسبك في العالم مفتوح ، نحن نبني مقترحات متباينة على قيمة العملاء ، ومحفظة التكنولوجيا لدينا ، وخبرة التصنيع ، بما في ذلك معايير التغليف ومعايير البطولة والبرامج ، وتفوق على تصنيع الرقاقة التقليدية التقليدية.

وتفيد التقارير أن IFS قد أحرز تقدمًا كبيرًا في العام الماضي ، مع زيادة الإيرادات بأكثر من 300 ٪ على أساس سنوي في الربع الثاني من عام 2023. توصلت Intel مؤخرًا إلى اتفاق مع Synopsys لتطوير مجموعة ملكية فكرية (IP)Intel 3 و Intel 18a عملية العملية ، مما يدل على هذا الزخم.حصلت Intel أيضًا على المرحلة الأولى من برنامج Encroelectronics Commercial (RAMP-C) النموذجي (RAMP-C) في وزارة الدفاع الأمريكية (RAMP-C) ، والمشاركة في تصميم Intel 18A مع خمسة عملاء RAMP-C.بالإضافة إلى ذلك ، توصلت Intel و ARM إلى اتفاقيات توليد متعددة ، مما يمكّن مصممي الرقائق من إنشاء رقائق نظام الحوسبة منخفضة الطاقة (SOC) على 18A.وقعت Intel أيضًا شراكة استراتيجية مع Mediatek لاستخدام تقنية عملية IFS المتقدمة.


وفقًا لـ Bloomberg ، فإن الاستحواذ على Tower هو حجر الزاوية في خطة Pat Gelsinger الرئيس التنفيذي لشركة Intel للدخول إلى صناعة أشباه الموصلات سريعة النمو ، والتي تهيمن عليها TSMC في سوق OEM.تأثير Gaota في هذا المجال صغير نسبيًا - تنتج الشركة رقائقًا للعملاء على أساس التعاقد ، ولكن لديها المعرفة المهنية والعملاء الذين تفتقر إليهم Intel.

عندما تم الإعلان عن المعاملة في البداية ، ذكرت Intel أن الأمر سيستغرق "حوالي 12 شهرًا" لإكماله.اعتبارًا من أكتوبر من العام الماضي ، ذكرت الشركة المصنعة للرقائق هدفها المتمثل في إكمال المعاملات في الربع الأول من عام 2023 ، ولكن حذرت لاحقًا في مارس من هذا العام من تأجيل التاريخ إلى الربع الثاني.

لقد جعل الوضع المتوتر بشكل متزايد بين China والولايات المتحدة من الصعب بشكل متزايد على المعاملات التي تتطلب موافقة تنظيمية من بكين وواشنطن ، وخاصة تلك التي تنطوي على أشباه الموصلات ، والتي تعد مجالًا رئيسيًا للاحتكاك في العلاقات الصينية الأمريكية.

على الرغم من أن مقياس Tower ليس سوى جزء صغير من Intel و TSMC من حيث الإيرادات ، إلا أنه ينتج بنشاط أنواعًا تقليدية من الرقائق للعملاء الرئيسيين مثل Broadcom.خطة Intel هي دمج المصانع في شبكتها مع تقدم عملاء البرج.على الرغم من أنها لا تتطلب تقنية الإنتاج الأكثر تقدماً التي تتطلبها معالجات Intel أو Nvidia ، إلا أن هذه المصانع القديمة يمكنها إنتاج العديد من الرقائق الجديدة للأسواق مثل السيارات الكهربائية.

قام المستثمرون بخصم احتمالية الانتهاء من المعاملة.مقارنة بالارتفاع العام في أسهم الرقائق ، انخفضت أسهم Gaota في الولايات المتحدة المدرجة بنسبة 22 ٪ هذا العام.
0 RFQ
عربة التسوق (0 Items)
إنه فارغ.
قارن القائمة (0 Items)
إنه فارغ.
تعليق

ملاحظاتك مهمة!في Allelco ، نقدر تجربة المستخدم ونحن نسعى جاهدين لتحسينها باستمرار.
يرجى مشاركة تعليقاتك معنا عبر نموذج ملاحظاتنا ، وسنرد على الفور.
شكرا لك على اختيار Allelco.

موضوع
البريد الإلكتروني
تعليقات
كابتشا
اسحب أو انقر لتحميل الملف
رفع ملف
الأنواع: .xls ، .xlsx ، .doc ، .docx ، .jpg ، .png و .pdf.
أقصى حجم الملف: 10 ميغابايت